ASML Delivers High-NA EUV Lithography Machine to Intel and TSMC's Updates

TapTechNews June 5th news, ASML has delivered the world's first commercial High-NA EUV lithography machine to Intel and completed its installation. Intel Fellow Mark Phillips confirmed that this machine will be officially launched within this year.

In contrast, the world's largest chipfoundry giant, TSMC, seems not so eager to join this technological race. Earlier this year, when TSMC was asked at an event in the Netherlands whether there were plans to buy a similar machine, it clearly expressed opposition.

TSMC mentioned at that time that they do not need such high-end EUV lithography machines in the next few years, and the price is really too high. Previously, some analysts predicted that TSMC might adopt this technology only in 2030 or even later.

ASML Delivers High-NA EUV Lithography Machine to Intel and TSMCs Updates_0

After several months of controversy, TSMC seems to have changed its concept. At least ASML spokeswoman Monique Mols said that the company will deliver a High-NA EUV lithography machine worth 380 million US dollars (TapTechNews note: currently about 2.753 billion Chinese yuan) to TSMC this year.

She mentioned that ASML Chief Financial Officer Roger Dassen confirmed that ASML's two major customers, TSMC and Intel Corporation, will receive High-NA EUV lithography machines by the end of this year. Affected by this news, ASML rose by more than 6% after the opening.

ASML Delivers High-NA EUV Lithography Machine to Intel and TSMCs Updates_1

Intel had already announced in 2022 that it has signed a contract to purchase five such devices (TWINSCANN XE:3600D) to be used in the production of Intel 18A chips in 2025.

ASML Delivers High-NA EUV Lithography Machine to Intel and TSMCs Updates_2

For now, advanced lithography technology is a key factor in measuring the upper limit of chip manufacturing, and this High-NA lithography technology is expected to reduce the size by 66%. In the field of chip manufacturing, although the current 3nm and 5nm no longer represent the actual gate width, it must still be smaller the better.

It is learned that this new EUV system can achieve a numerical aperture of 0.55. Compared with the previous EUV system (TWINSCANN XE:3400B and NXE:3400C) equipped with a 0.33 numerical aperture lens, the accuracy will be improved, and a higher-resolution patterning can be achieved.

ASML officials have previously revealed that this High-NA machine will be 30% larger than the existing machines, and the previous machines are already difficult to imagine, and even require three Boeing 747s to load them.

TSMC previously announced that its 2nm node is progressing smoothly and plans to launch N3X and N2 production in the second half of 2025, and will mass-produce N2P and A16 processes in the second half of 2026.

Unlike the 3nm process node, TSMC's 2nm process will use Gate-all-around FETs (GAAFET) transistors. TSMC claims that it will have a 10% to 15% performance improvement compared to the 3nm process, and can also reduce power consumption by 25% to 30%.

Likes